CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - udp verilog

搜索资源列表

  1. 56892978ETHERNET

    0下载:
  2. 用Verilog实现的UDP通信,很实用-UDP communication based on verilog
  3. 所属分类:TCP/IP Stack

    • 发布日期:2017-04-29
    • 文件大小:69623
    • 提供者:xuzhengan
  1. udp_send1

    1下载:
  2. 基于FPGA的UDP硬件协议栈, 全部用SystemVerilog写的,不需CPU参与,包括独立的MAC模块。 支持外部phy的配置,支持GMII和RGMII模式。 以下是接口 input clk50, input rst_n, /////////////////////// //interface to user module input [7:0] wr_data, input wr_clk, input wr_en, output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:53564
    • 提供者:qiubin
  1. udpip

    0下载:
  2. 赛灵思XILINX FPGA verilog写的UDP/IP协议,可用。-I am prepared to use verilog UDP protocol, the test is available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:6932
    • 提供者:汪洋
  1. ethernet_tri_mode

    1下载:
  2. 以太网通信verilo实现UDP、TCP传输。-ethernet verilog,udp,tcp
  3. 所属分类:HardWare Design

    • 发布日期:2017-05-22
    • 文件大小:6377438
    • 提供者:zou
  1. iprecieve

    0下载:
  2. udp协议的ipreceive模块,用verilog写的,思路很明确-Udp agreement ipreceive module, written with verilog, the idea is clear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:1985
    • 提供者:徐林
« 1 2»
搜珍网 www.dssz.com